Táirgí

SPC5604PEF1MLQ6 (Tomhsaire spotfheithicle bhunaidh)

Cur síos gairid:

Uimhir Pháirt Boyad: 568-14148-ND

monaróir:NXP USA Inc.

Uimhir táirge an mhonaróra: SPC5604PEF1MLQ6

déan cur síos ar:IC MCU 32BIT 512KB FLASH 144LQFP

Am seachadta caighdeánach monarcha bunaidh: 52 seachtain

Cur síos mionsonraithe: sraith microcontroller e200z0h IC croí singil 32-giotán 64MHz 512KB (512K x 8) Flash 144-LQFP (20×20)

Uimhir Chuid Inmheánach an Chustaiméara

Sonraíochtaí:Sonraíochtaí


Sonraí Táirge

Clibeanna Táirge

airíonna táirge:

CINEÁL CUR SÍOS
chatagóir Ciorcad Comhtháite (IC) Leabaithe - Microcontrollers
monaróir NXP USA Inc.
sraith MPC56xx Dath
Pacáiste tráidire
stádas táirge i stoc
próiseálaí lárnacha e200z0h
Sonraíocht eithne Croí aonair 32-giotán
luas 64MHz
Nascacht CANbus, FlexRay, LINbus, SPI, UART/USART
Forimeallaigh DMA, POR, PWM, WDT
Líon I/O 108
Toilleadh stórála clár 512KB (512K x 8)
Cineál cuimhne clár flash
Cumas EEPROM 64K x 8
Méid RAM 40K x 8
Voltas - Soláthar Cumhachta (Vcc/Vdd) 3V ~ 5.5V
tiontaire sonraí A/D 30x10b
Cineál Oscillator inmheánach
Teocht oibriúcháin -40°C ~ 125°C (TA)
cineál suiteála Cineál Sliabh Dromchla
Pacáiste/Imfhálú 144-LQFP
Pacáistiú Gléas Soláthraí 144-LQFP (20x20)
Bunuimhir táirge SPC5604

Aicmiú Comhshaoil ​​agus Easpórtála:

TRÉITHE CUR SÍOS
Stádas RoHS Comhlíontach le sonraíocht ROHS3
Leibhéal Íogaireachta Taise (MSL) 3 (168 uair an chloig)
Stádas REACH Táirgí neamh-REACH
Éalaigh 3A991A2
HTSUS 8542. 31.0001

Achoimre bloc sraith MPC5604P:
Feidhm Bloc
Tiontaire analóg-go-digiteach (ADC) Tiontaire ilchainéil, 10-giotán analógach go digiteach
Modúl cúnta tosaithe (BAM) Bloc cuimhne inléite amháin ina bhfuil cód VLE a dhéantar de réir
modh tosaithe an ghléis
Modúl giniúna clog
(MC_CGM)
Soláthraíonn sé loighic agus rialú a theastaíonn chun córais agus forimeallach a ghiniúint
cloig
Líonra achair rialaitheora (FlexCAN) Tacaíonn sé le prótacal cumarsáide caighdeánach CAN
Aonad tras-truicearaithe (CTU) Cumasaíonn sé tiontuithe ADC a shioncronú le himeacht ama ón eMIOS
nó ón PIT
Lasc trasbarra (XBAR) Tacaíonn sé le naisc chomhuaineacha idir dhá phríomhchalafort agus trí sclábhaí
poirt;tacaíonn sé le leithead bus seoltaí 32-giotán agus leithead bus sonraí 32-giotán
Seiceáil iomarcaíochta timthriallach (CRC) Gineadóir seiceála CRC
Comhéadan forimeallach sraitheach deserial
(DSPI)
Soláthraíonn sé comhéadan sraitheach sioncrónach le haghaidh cumarsáide le feistí seachtracha
Rochtain dhíreach fheabhsaithe cuimhne
(eDMA)
Déanann sé aistrithe sonraí casta le hidirghabháil íosta ó phróiseálaí óstach
trí chainéil ríomhchláraithe “n”.
Uaineadóir feabhsaithe (eTimer) Soláthraíonn comhaireamh modúil suas/síos in-ríomhchláraithe feabhsaithe
Modúl stádais ceartúcháin earráide
(ECSM)
Soláthraíonn an iliomad feidhmeanna rialaithe ilghnéitheacha don fheiste lena n-áirítear
faisnéis infheicthe cláir faoi leibhéil chumraíochta agus athbhreithnithe, athshocrú
clár stádais, rialú múscail do mhodhanna codlata scoir, agus gnéithe roghnacha
amhail faisnéis ar earráidí cuimhne arna dtuairisciú ag cóid cheartúcháin earráide
Oscillator seachtrach (XOSC) Soláthraíonn sé clog aschuir a úsáidtear mar thagairt ionchuir do FMPLL_0 nó mar thagairt
clog le haghaidh modúil ar leith ag brath ar riachtanais an chórais
Aonad bailithe lochtanna (FCU) Soláthraíonn sábháilteacht fheidhmiúil don fheiste
Cuimhne splanc Soláthraíonn stóráil neamh-luaineach le haghaidh cód cláir, tairisigh agus athróga
Minicíocht-mhodhnaithe
lúb céim-ghlas (FMPLL)
Gineann cloig chórais ardluais agus tacaíonn sé le minicíocht in-ríomhchláraithe
modhnú
Rialaitheoir idirbhriseadh (INTC) Soláthraíonn sé sceidealú réamhghabhálach bunaithe ar thosaíocht d'iarratais ar idirbhriseadh
Rialaitheoir JTAG Soláthraíonn sé na modhanna chun feidhmiúlacht sliseanna agus nascacht a thástáil agus tú ag fanacht
trédhearcach do loighic an chórais nuair nach bhfuil sé i mód tástála
Rialaitheoir LINFlex Bainistíonn sé líon ard teachtaireachtaí LIN (Prótacal Líonra Idirnasctha Áitiúil).
go héifeachtach leis an ualach íosta ar LAP
Modúl iontrála mód (MC_ME) Soláthraíonn sé meicníocht chun modh agus mód oibriúcháin an fheiste a rialú
seichimh trasdula i ngach stát feidhme;freisin bainistíonn an t-aonad rialaithe cumhachta,
modúl giniúna athshocrú agus modúl giniúna clog, agus tá an
cláir chumraíochta, rialaithe agus stádais atá inrochtana d’fheidhmchláir
Uaineadóir idirbhriste tréimhsiúil (PIT) Táirgeann idirbhristeacha agus truicearóidí tréimhsiúla
Droichead forimeallach (PBRIDGE) Comhéadan idir bus an chórais agus forimeallaigh ar-sliseanna
Aonad rialaithe cumhachta (MC_PCU) Laghdaíonn sé an tomhaltas cumhachta iomlán trí chodanna den fheiste a dhícheangal
ón soláthar cumhachta trí fheiste lasctha cumhachta;Tá comhpháirteanna gléas
grúpáilte i rannóga ar a dtugtar “fearainn chumhachta” atá á rialú ag an PCU


  • Roimhe Seo:
  • Ar Aghaidh:

  • Fág Do Theachtaireacht

    Táirgí Gaolmhara

    Fág Do Theachtaireacht